%---------------- SGGS v1.0 (IJCAR 2020 submission) ----------------% ------ Parsing...successful ------ Proving... use I- not ground preserving Gamma_0: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 Gamma_1: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 Gamma_2: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 Gamma_3: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 Gamma_4: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 Gamma_5: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) Gamma_6: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 Gamma_7: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 Gamma_8: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 Gamma_9: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 Gamma_10: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] Gamma_11: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 Gamma_12: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 Gamma_13: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 Gamma_14: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 14: [ndr1_1(a97)], ssSkC4 Gamma_15: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 14: [ndr1_1(a97)], ssSkC4 15: [c3_2(a97,X0)], c1_2(a97,X0), c2_2(a97,X0), ~(ndr1_1(a97)), ssSkC4 Gamma_16: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 14: [ndr1_1(a97)], ssSkC4 15: [c3_2(a97,X0)], c1_2(a97,X0), c2_2(a97,X0), ~(ndr1_1(a97)), ssSkC4 16: [ndr1_1(a74)], c3_0 Gamma_17: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 14: [ndr1_1(a97)], ssSkC4 15: [c3_2(a97,X0)], c1_2(a97,X0), c2_2(a97,X0), ~(ndr1_1(a97)), ssSkC4 16: [ndr1_1(a74)], c3_0 17: [ssSkC0], ndr1_0 Gamma_18: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 14: [ndr1_1(a97)], ssSkC4 15: [c3_2(a97,X0)], c1_2(a97,X0), c2_2(a97,X0), ~(ndr1_1(a97)), ssSkC4 16: [ndr1_1(a74)], c3_0 17: [ssSkC0], ndr1_0 18: [ssSkC2], ndr1_0 Gamma_19: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 14: [ndr1_1(a97)], ssSkC4 15: [c3_2(a97,X0)], c1_2(a97,X0), c2_2(a97,X0), ~(ndr1_1(a97)), ssSkC4 16: [ndr1_1(a74)], c3_0 17: [ssSkC0], ndr1_0 18: [ssSkC2], ndr1_0 19: [ssSkC3], ndr1_0 Gamma_20: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 14: [ndr1_1(a97)], ssSkC4 15: [c3_2(a97,X0)], c1_2(a97,X0), c2_2(a97,X0), ~(ndr1_1(a97)), ssSkC4 16: [ndr1_1(a74)], c3_0 17: [ssSkC0], ndr1_0 18: [ssSkC2], ndr1_0 19: [ssSkC3], ndr1_0 20: [c5_2(a95,a96)], c4_0, ~(ssSkC3) Gamma_21: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 14: [ndr1_1(a97)], ssSkC4 15: [c3_2(a97,X0)], c1_2(a97,X0), c2_2(a97,X0), ~(ndr1_1(a97)), ssSkC4 16: [ndr1_1(a74)], c3_0 17: [ssSkC0], ndr1_0 18: [ssSkC2], ndr1_0 19: [ssSkC3], ndr1_0 20: [c5_2(a95,a96)], c4_0, ~(ssSkC3) 21: [c1_2(a95,a96)], c4_0, ~(ssSkC3) Gamma_22: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 14: [ndr1_1(a97)], ssSkC4 15: [c3_2(a97,X0)], c1_2(a97,X0), c2_2(a97,X0), ~(ndr1_1(a97)), ssSkC4 16: [ndr1_1(a74)], c3_0 17: [ssSkC0], ndr1_0 18: [ssSkC2], ndr1_0 19: [ssSkC3], ndr1_0 20: [c5_2(a95,a96)], c4_0, ~(ssSkC3) 21: [c1_2(a95,a96)], c4_0, ~(ssSkC3) 22: [ndr1_1(a95)], c4_0, ~(ssSkC3) Gamma_23: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 14: [ndr1_1(a97)], ssSkC4 15: [c3_2(a97,X0)], c1_2(a97,X0), c2_2(a97,X0), ~(ndr1_1(a97)), ssSkC4 16: [ndr1_1(a74)], c3_0 17: [ssSkC0], ndr1_0 18: [ssSkC2], ndr1_0 19: [ssSkC3], ndr1_0 20: [c5_2(a95,a96)], c4_0, ~(ssSkC3) 21: [c1_2(a95,a96)], c4_0, ~(ssSkC3) 22: [ndr1_1(a95)], c4_0, ~(ssSkC3) 23: [c4_0], ~(ssSkC3), ndr1_0 Gamma_24: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 14: [ndr1_1(a97)], ssSkC4 15: [c3_2(a97,X0)], c1_2(a97,X0), c2_2(a97,X0), ~(ndr1_1(a97)), ssSkC4 16: [ndr1_1(a74)], c3_0 17: [ssSkC0], ndr1_0 18: [ssSkC2], ndr1_0 19: [ssSkC3], ndr1_0 20: [c5_2(a95,a96)], c4_0, ~(ssSkC3) 21: [c1_2(a95,a96)], c4_0, ~(ssSkC3) 22: [ndr1_1(a95)], c4_0, ~(ssSkC3) 23: [c4_0], ~(ssSkC3), ndr1_0 24: [c1_2(a70,a71)], ~(c4_0) Gamma_25: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 14: [ndr1_1(a97)], ssSkC4 15: [c3_2(a97,X0)], c1_2(a97,X0), c2_2(a97,X0), ~(ndr1_1(a97)), ssSkC4 16: [ndr1_1(a74)], c3_0 17: [ssSkC0], ndr1_0 18: [ssSkC2], ndr1_0 19: [ssSkC3], ndr1_0 20: [c5_2(a95,a96)], c4_0, ~(ssSkC3) 21: [c1_2(a95,a96)], c4_0, ~(ssSkC3) 22: [ndr1_1(a95)], c4_0, ~(ssSkC3) 23: [c4_0], ~(ssSkC3), ndr1_0 24: [c1_2(a70,a71)], ~(c4_0) 25: [c4_2(a70,a71)], ~(c4_0) Gamma_26: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 14: [ndr1_1(a97)], ssSkC4 15: [c3_2(a97,X0)], c1_2(a97,X0), c2_2(a97,X0), ~(ndr1_1(a97)), ssSkC4 16: [ndr1_1(a74)], c3_0 17: [ssSkC0], ndr1_0 18: [ssSkC2], ndr1_0 19: [ssSkC3], ndr1_0 20: [c5_2(a95,a96)], c4_0, ~(ssSkC3) 21: [c1_2(a95,a96)], c4_0, ~(ssSkC3) 22: [ndr1_1(a95)], c4_0, ~(ssSkC3) 23: [c4_0], ~(ssSkC3), ndr1_0 24: [c1_2(a70,a71)], ~(c4_0) 25: [c4_2(a70,a71)], ~(c4_0) 26: [c3_1(a76)], c1_0, ~(c4_0) Gamma_27: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 14: [ndr1_1(a97)], ssSkC4 15: [c3_2(a97,X0)], c1_2(a97,X0), c2_2(a97,X0), ~(ndr1_1(a97)), ssSkC4 16: [ndr1_1(a74)], c3_0 17: [ssSkC0], ndr1_0 18: [ssSkC2], ndr1_0 19: [ssSkC3], ndr1_0 20: [c5_2(a95,a96)], c4_0, ~(ssSkC3) 21: [c1_2(a95,a96)], c4_0, ~(ssSkC3) 22: [ndr1_1(a95)], c4_0, ~(ssSkC3) 23: [c4_0], ~(ssSkC3), ndr1_0 24: [c1_2(a70,a71)], ~(c4_0) 25: [c4_2(a70,a71)], ~(c4_0) 26: [c3_1(a76)], c1_0, ~(c4_0) 27: [c1_1(a76)], c1_0, ~(c4_0) Gamma_28: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 14: [ndr1_1(a97)], ssSkC4 15: [c3_2(a97,X0)], c1_2(a97,X0), c2_2(a97,X0), ~(ndr1_1(a97)), ssSkC4 16: [ndr1_1(a74)], c3_0 17: [ssSkC0], ndr1_0 18: [ssSkC2], ndr1_0 19: [ssSkC3], ndr1_0 20: [c5_2(a95,a96)], c4_0, ~(ssSkC3) 21: [c1_2(a95,a96)], c4_0, ~(ssSkC3) 22: [ndr1_1(a95)], c4_0, ~(ssSkC3) 23: [c4_0], ~(ssSkC3), ndr1_0 24: [c1_2(a70,a71)], ~(c4_0) 25: [c4_2(a70,a71)], ~(c4_0) 26: [c3_1(a76)], c1_0, ~(c4_0) 27: [c1_1(a76)], c1_0, ~(c4_0) 28: [ndr1_1(a70)], ~(c4_0) Gamma_29: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 14: [ndr1_1(a97)], ssSkC4 15: [c3_2(a97,X0)], c1_2(a97,X0), c2_2(a97,X0), ~(ndr1_1(a97)), ssSkC4 16: [ndr1_1(a74)], c3_0 17: [ssSkC0], ndr1_0 18: [ssSkC2], ndr1_0 19: [ssSkC3], ndr1_0 20: [c5_2(a95,a96)], c4_0, ~(ssSkC3) 21: [c1_2(a95,a96)], c4_0, ~(ssSkC3) 22: [ndr1_1(a95)], c4_0, ~(ssSkC3) 23: [c4_0], ~(ssSkC3), ndr1_0 24: [c1_2(a70,a71)], ~(c4_0) 25: [c4_2(a70,a71)], ~(c4_0) 26: [c3_1(a76)], c1_0, ~(c4_0) 27: [c1_1(a76)], c1_0, ~(c4_0) 28: [ndr1_1(a70)], ~(c4_0) 29: ~(c4_0), [ndr1_0] Gamma_30: (extend-no-conflict) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 14: [ndr1_1(a97)], ssSkC4 15: [c3_2(a97,X0)], c1_2(a97,X0), c2_2(a97,X0), ~(ndr1_1(a97)), ssSkC4 16: [ndr1_1(a74)], c3_0 17: [ssSkC0], ndr1_0 18: [ssSkC2], ndr1_0 19: [ssSkC3], ndr1_0 20: [c5_2(a95,a96)], c4_0, ~(ssSkC3) 21: [c1_2(a95,a96)], c4_0, ~(ssSkC3) 22: [ndr1_1(a95)], c4_0, ~(ssSkC3) 23: [c4_0], ~(ssSkC3), ndr1_0 24: [c1_2(a70,a71)], ~(c4_0) 25: [c4_2(a70,a71)], ~(c4_0) 26: [c3_1(a76)], c1_0, ~(c4_0) 27: [c1_1(a76)], c1_0, ~(c4_0) 28: [ndr1_1(a70)], ~(c4_0) 29: ~(c4_0), [ndr1_0] 30: c3_2(a77,a79), [c1_2(a88,X0)], c4_2(a88,X0), ~(c1_1(a88)), ~(ndr1_1(a88)), c3_0, ~( ndr1_0) 0: [c4_2(a88,a89)], ssSkC1 1: [c2_2(a93,a94)], ssSkC3 2: [c5_2(a97,a98)], ssSkC4 3: [c5_2(a97,a99)], ssSkC4 4: [c5_2(a74,a75)], c3_0 5: [ssSkP0(X0)], ndr1_1(X0) 6: [c4_1(a80)], ssSkC0 7: [c1_1(a88)], ssSkC1 8: [ndr1_1(a88)], ssSkC1 9: [c2_2(a88,X0)], c5_2(a88,X0), ~(ndr1_1(a88)), ssSkC1 10: ~(c2_2(a88,a89)), [ssSkC1] 11: [c3_1(a93)], ssSkC3 12: [c4_1(a93)], ssSkC3 13: [ndr1_1(a93)], ssSkC3 14: [ndr1_1(a97)], ssSkC4 15: [c3_2(a97,X0)], c1_2(a97,X0), c2_2(a97,X0), ~(ndr1_1(a97)), ssSkC4 16: [ndr1_1(a74)], c3_0 17: [ssSkC0], ndr1_0 18: [ssSkC2], ndr1_0 19: [ssSkC3], ndr1_0 20: [c5_2(a95,a96)], c4_0, ~(ssSkC3) 21: [c1_2(a95,a96)], c4_0, ~(ssSkC3) 22: [ndr1_1(a95)], c4_0, ~(ssSkC3) 23: [c4_0], ~(ssSkC3), ndr1_0 24: [c1_2(a70,a71)], ~(c4_0) 25: [c4_2(a70,a71)], ~(c4_0) 26: [c3_1(a76)], c1_0, ~(c4_0) 27: [c1_1(a76)], c1_0, ~(c4_0) 28: [ndr1_1(a70)], ~(c4_0) 29: ~(c4_0), [ndr1_0] 30: c3_2(a77,a79), [c1_2(a88,X0)], c4_2(a88,X0), ~(c1_1(a88)), ~(ndr1_1(a88)), c3_0, ~( ndr1_0) SZS status Satisfiable