%---------------- SGGS v1.0 (IJCAR 2020 submission) ----------------% ------ Parsing...successful ------ Proving... use I+ Gamma_0: (extend-no-conflict) 0: [~(c_in(c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] Gamma_1: (extend-no-conflict) 0: [~(c_in(c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] 1: [~(c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))], c_in( c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)) Gamma_2: (extend-no-conflict) 0: [~(c_in(c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] 1: [~(c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))], c_in( c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)) 2: c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)), [~(c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] Gamma_3: (extend-no-conflict) 0: [~(c_in(c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] 1: [~(c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))], c_in( c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)) 2: c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)), [~(c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] 3: c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)), [~(c_in(c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] Gamma_4: (extend-no-conflict) 0: [~(c_in(c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] 1: [~(c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))], c_in( c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)) 2: c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)), [~(c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] 3: c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)), [~(c_in(c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] 4: c_in(c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),c_PropLog_Othms(v_H,t_a), tc_PropLog_Opl(t_a)), [~(c_in(v_r,c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] Gamma_5: (extend-conflict) 0: [~(c_in(c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] 1: [~(c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))], c_in( c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)) 2: c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)), [~(c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] 3: c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)), [~(c_in(c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] 4: c_in(c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),c_PropLog_Othms(v_H,t_a), tc_PropLog_Opl(t_a)), [~(c_in(v_r,c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] 5: [c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a))] Gamma_6: (move) 0: [~(c_in(c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] 1: [c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a))] 2: [~(c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))], c_in( c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)) 3: c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)), [~(c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] 4: c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)), [~(c_in(c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] 5: c_in(c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),c_PropLog_Othms(v_H,t_a), tc_PropLog_Opl(t_a)), [~(c_in(v_r,c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] Gamma_7: (resolve) 0: [~(c_in(c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] 1: [c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a))] 2: [c_in(c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a))] Gamma_8: (extend-conflict) 0: [~(c_in(c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] 1: [c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a))] 2: [c_in(c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a))] Gamma_9: (move) 0: [c_in(c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a))] 1: [~(c_in(c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a),c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a)))] 2: [c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a))] Gamma_10: (resolve) 0: [c_in(c_PropLog_Opl_Oop_A_N_62(v_p,c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a))] 1: [] 2: [c_in(c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,c_PropLog_Opl_Oop_A_N_62(v_q,v_r,t_a),t_a),c_PropLog_Opl_Oop_A_N_62(c_PropLog_Opl_Oop_A_N_62(v_pa,v_q,t_a),c_PropLog_Opl_Oop_A_N_62(v_pa,v_r,t_a),t_a),t_a), c_PropLog_Othms(v_H,t_a),tc_PropLog_Opl(t_a))] SZS status Unsatisfiable